Сравнение мультибитных и дельта-сигма-цифроаналоговых преобразователей | Статья в журнале «Молодой ученый»

Отправьте статью сегодня! Журнал выйдет 4 мая, печатный экземпляр отправим 8 мая.

Опубликовать статью в журнале

Автор:

Рубрика: Технические науки

Опубликовано в Молодой учёный №18 (152) май 2017 г.

Дата публикации: 08.05.2017

Статья просмотрена: 2318 раз

Библиографическое описание:

Григорьев, П. В. Сравнение мультибитных и дельта-сигма-цифроаналоговых преобразователей / П. В. Григорьев. — Текст : непосредственный // Молодой ученый. — 2017. — № 18 (152). — С. 30-33. — URL: https://moluch.ru/archive/152/43095/ (дата обращения: 20.04.2024).



Сфера применения ЦАП с каждым годом становится все шире, поскольку они находят использование в различных областях — усилители звука, системы автоматического управления, высокоточные установщики компонентов, устройства отображения, системы распознавания данных, калибровка датчиков и других измерительных устройств, цифровые потенциометры. В настоящее время широкое применения нашли два типа цифро-аналоговых преобразователей: мультибитные, построенные на базе R-2R матрице и ЦАП-ы с 1-битовым преобразованием, получившим название «дельта-сигма».

Ключевые слова: цифро-аналоговые преобразователи

The scope of the DAC is growing every year because they find use in various areas — sound amplifiers, video processing devices, audio codecs, display devices, data recognition systems, calibration of sensors and other measuring devices, motor control circuits, data distribution systems, Digital potentiometers. Currently, two types of digital-to-analog converters have been widely used: multibit, based on R-2R matrix and DACs with 1-bit conversion, called «delta-sigma».

Keywords: Digital-to-analog converters

1 Описание типов ЦАП

Широкое применение нашли два типа ЦАП: мультибитные и дельта-сигма цифро-аналоговые преобразователи. Говоря о мультибитных ЦАП, будет рассматриваться цифро-аналоговый преобразователь, построенный на матрице резисторов. Так же известный, как ЦАП с взвешиванием токов или ЦАП на базе матрицы R-2R. На рисунке 1 изображена матрица резисторов.

Рис. 1.Матрица резисторов R-2R

В цифро-аналоговом преобразователе, построенном на матрице резисторов R-2R формируется ряд напряжений, которые отличаются друг от друга в два раза. В конце резистивной цепочки находятся два резистора номиналом 2R, включенных параллельно. В результате их общее сопротивление равно R. При соединении резистора R и параллельного соединения двух резисторов 2R образуется делитель напряжения с коэффициентом деления 2. В результате напряжение на его выходе будет в два раза меньше напряжения на его входе. Общее сопротивление делителя составляет 2R, так как сопротивления R в нем соединены последовательно. В результате в следующем звене матрицы ситуация аналогичная., поскольку снова образуется параллельное соединение двух резисторов 2R и снова образуется делитель напряжения в два раза. Так как напряжения в узлах матрицы R-2R отличаются друг от друга ровно в два раза, то и ток через резисторы 2R будет отличаться ровно в два раза, то есть подчиняться двоичному закону. Если теперь эти токи подавать или не подавать на вход аналогового сумматора на ОУ в зависимости от входного двоичного числа, то мы получим цифроаналоговый преобразователь.

В основе работы дельта-сигма ЦАП лежит дельта-сигма модуляция. Сигма-дельта модуляция предназначена для аналого-цифрового и цифро-аналогового преобразований звуковых сигналов. В отличие от импульсно-кодовой модуляции она позволяет использовать при этих операциях достаточно грубые преобразователи с числом разрядов вплоть до одного, обеспечивая при этом отношение сигнал шум до 120…140 дБ. Технология производства ЦАП на основе сигма-дельта модуляции значительно проще и дешевле, поэтому такие преобразователи широко используются в современных звуковых картах, оптической звукозаписи, цифровых магнитофонах, в измерительной и другой технике. На рисунке 2 изображена функциональная схема 1 бит ЦАП на основе дельта-сигма — модулятора 1 порядка.

Рис. 2. Функциональная схема 1 бит ЦАП на основе дельта-сигма — модулятора 1 порядка

2. Переходные процессы микросхем цифро-аналоговых преобразователей.

Хорошо спроектированная система должна не только быть устойчивой и поддерживать заданную точность в установившемся режиме, но и плавно переходить на новый режим при изменении заданного значения выхода (уставки). Качество переходных процессов обычно оценивается по переходной характеристике: один из простейших сигналов — так называемый «единичный скачок» («единичный ступенчатый сигнал»), то есть мгновенное изменение входного сигнала с 0 до 1 в момент . Формально этот сигнал определяется так:

График единичного ступенчатого сигнала и реакция системы на него показаны на рисунке 3.

Рис. 3. График единичного ступенчатого сигнала и реакция системы на него

Для тестирования была выбрана дельта-сигма ЦАП РСМ1794, на вход которой был подан прямоугольный импульс. На рисунке 4 представлена выходной сигнал микросхемы ЦАП, на котором отчетливо видно отличие формы сигнала, снятого с выхода микросхемы ЦАП, от прямоугольного импульса.

Рис. 4. Реакции дельта-сигмы ЦАП РСМ1794 на единичный ступенчатый сигнал

На рисунке 5 показан меандр частотой 1 кГц, который подается на входы дельта-сигма ЦАП РСМ1794 и мультибитной ЦАП РСМ1704. По причине цифровой фильтрации внутри РСМ1794 его переходной процесс носит колеба­тельный характер. Переходной процесс микросхемы ЦАП РСМ1704 так же слегка колебательный, но колебания есть только после завершения фронта, и обусловлены выходным аналоговым фильтром.

Рис. 5: 1) Реакции мультибитной ЦАП РСМ1704 на меандр частотой 1 кГц; 2) Реакции дельта-сигмы ЦАП РСМ1794 на меандр частотой 1 кГц

Выводы

Одной из самых важных характеристик цифро-аналогового преобразователя является переходная характеристика т. е. реакция на изменение входного сигнала от нуля до единицы за короткий промежуток времени. По характеру монотонности переходной характеристики можно судить о точности воспроизведения аналогового сигнала.

В силу особенностей принципа работы (соседние отсчеты после применения цифрового фильтра зависят друг от друга) все дельта-сигма ЦАП имеют колебательную переходную характеристику. В то время как мультибитные ЦАП, без применения дополнительного внешнего (по отношению к ЦАП) цифрового фильтра лишены этого недостатка.

Литература:

  1. C. C. Cutler, «Transmission Systems Employing Quantization», U.S. patent 2,927,962 (1960 Mar. 8). Massachusetts Institute of Technology, Cambridge.
  2. M. W. Hauser. Principles of Oversampling A/D conversion. J. Audio Eng. Soc. v. 39, 1990.
  3. Pervez M.Aziz. Multi-band Oversampled Noise Shaping Analog to Digital Conversion. A dissertation in Electrical Engneering. University of Pennsylvania, 1996,-172 p.
  4. Pervez M.Aziz, Henrik V. Sorensen, Jan van der Spiegel. An Overview of Sigma-Delta Converters. IEEE, 1996.
  5. Григорьев П. В., Разработка устройства для преобразования форматов «Универсальный аудио-преобразователь» // Наукоемкие технологии и интеллектуальные системы — 2017. 2017. № 1. С. 214–219.
Основные термины (генерируются автоматически): ЦАП, единичный ступенчатый сигнал, матрица резисторов, меандр частотой, переходная характеристика, цифро-аналоговый преобразователь, входной сигнал, параллельное соединение, прямоугольный импульс, функциональная схема.


Ключевые слова

цифро-аналоговые преобразователи

Похожие статьи

Программирование синусоидального и пилообразного сигналов...

ЦАП (DAC) – цифро-аналоговый преобразователь, устройство для преобразования входного дискретного (обычно двоичного) кода в аналоговый сигнал. ЦАП является интерфейсом между дискретным цифровым миром и реальным аналоговым.

Аналого-цифровое преобразование | Статья в журнале...

Аналого-цифровой преобразователь (АЦП) позволяет получить цифровой код из непрерывного входного аналогового сигнала.

Потребность в аналого-цифровых преобразователях стимулирует их разработку и изготовление с новыми, более совершенными характеристиками...

Разработка формирователя сигнала высокоскоростной...

Совместно с новейшими быстродействующими цифро-аналоговыми преобразователями (ЦАП) Analog Devices используется буфер сигналов синхронизации/данных ADCLK914 с крайне высоким быстродействием [3].

АЦП на основе ФАПЧ | Статья в журнале «Молодой ученый»

АЦП преобразует аналоговый сигнал (напряжение) в дискретный код (цифровой сигнал), над которым впоследствии выполняются определенные действия.

Рис. 3. Функциональная схема синтезатора частоты.

Автоматизация радиометрических измерений низкоинтенсивных...

Рисунок 1 - Функциональная схема супергетеродинного корреляционного радиометра.

Выходные сигналы с 13 поступают на усилители 14 и 15 промежуточной частоты, в

Схемы выполнены по n-МОП технологии, входные и выходные сигналы соответствуют уровням...

Генератор сигналов произвольной формы на микроконтроллере...

Для выполнения данной задачи применяется цифро-аналоговый преобразователь (ЦАП) с последующим использованием фильтра нижних частот (ФНЧ) для подавления ступенек на сигнале.

Коррекция динамических погрешностей измерительных...

ИП и ВКУ можно функционально объединить в один блок, который имеет более высокие физико-технические характеристики по сравнению с исходным ИП.

Суперпозиция множества таких частот приводит к входным сигналам спектрометра в виде гауссовых пиков.

Проектирование прецизионных помехоустойчивых импульсных...

Конечная емкость источника сигнала Cи может привести к неустойчивости схемы, особенно при использовании длинных входных проводников от акустоэлектрического

Экспериментальная оценка влияния работы преобразователей частоты на форму сигналов токов и напряжений.

Программирование синусоидального и пилообразного сигналов...

ЦАП (DAC) – цифро-аналоговый преобразователь, устройство для преобразования входного дискретного (обычно двоичного) кода в аналоговый сигнал. ЦАП является интерфейсом между дискретным цифровым миром и реальным аналоговым.

Аналого-цифровое преобразование | Статья в журнале...

Аналого-цифровой преобразователь (АЦП) позволяет получить цифровой код из непрерывного входного аналогового сигнала.

Потребность в аналого-цифровых преобразователях стимулирует их разработку и изготовление с новыми, более совершенными характеристиками...

Разработка формирователя сигнала высокоскоростной...

Совместно с новейшими быстродействующими цифро-аналоговыми преобразователями (ЦАП) Analog Devices используется буфер сигналов синхронизации/данных ADCLK914 с крайне высоким быстродействием [3].

АЦП на основе ФАПЧ | Статья в журнале «Молодой ученый»

АЦП преобразует аналоговый сигнал (напряжение) в дискретный код (цифровой сигнал), над которым впоследствии выполняются определенные действия.

Рис. 3. Функциональная схема синтезатора частоты.

Автоматизация радиометрических измерений низкоинтенсивных...

Рисунок 1 - Функциональная схема супергетеродинного корреляционного радиометра.

Выходные сигналы с 13 поступают на усилители 14 и 15 промежуточной частоты, в

Схемы выполнены по n-МОП технологии, входные и выходные сигналы соответствуют уровням...

Генератор сигналов произвольной формы на микроконтроллере...

Для выполнения данной задачи применяется цифро-аналоговый преобразователь (ЦАП) с последующим использованием фильтра нижних частот (ФНЧ) для подавления ступенек на сигнале.

Коррекция динамических погрешностей измерительных...

ИП и ВКУ можно функционально объединить в один блок, который имеет более высокие физико-технические характеристики по сравнению с исходным ИП.

Суперпозиция множества таких частот приводит к входным сигналам спектрометра в виде гауссовых пиков.

Проектирование прецизионных помехоустойчивых импульсных...

Конечная емкость источника сигнала Cи может привести к неустойчивости схемы, особенно при использовании длинных входных проводников от акустоэлектрического

Экспериментальная оценка влияния работы преобразователей частоты на форму сигналов токов и напряжений.

Похожие статьи

Программирование синусоидального и пилообразного сигналов...

ЦАП (DAC) – цифро-аналоговый преобразователь, устройство для преобразования входного дискретного (обычно двоичного) кода в аналоговый сигнал. ЦАП является интерфейсом между дискретным цифровым миром и реальным аналоговым.

Аналого-цифровое преобразование | Статья в журнале...

Аналого-цифровой преобразователь (АЦП) позволяет получить цифровой код из непрерывного входного аналогового сигнала.

Потребность в аналого-цифровых преобразователях стимулирует их разработку и изготовление с новыми, более совершенными характеристиками...

Разработка формирователя сигнала высокоскоростной...

Совместно с новейшими быстродействующими цифро-аналоговыми преобразователями (ЦАП) Analog Devices используется буфер сигналов синхронизации/данных ADCLK914 с крайне высоким быстродействием [3].

АЦП на основе ФАПЧ | Статья в журнале «Молодой ученый»

АЦП преобразует аналоговый сигнал (напряжение) в дискретный код (цифровой сигнал), над которым впоследствии выполняются определенные действия.

Рис. 3. Функциональная схема синтезатора частоты.

Автоматизация радиометрических измерений низкоинтенсивных...

Рисунок 1 - Функциональная схема супергетеродинного корреляционного радиометра.

Выходные сигналы с 13 поступают на усилители 14 и 15 промежуточной частоты, в

Схемы выполнены по n-МОП технологии, входные и выходные сигналы соответствуют уровням...

Генератор сигналов произвольной формы на микроконтроллере...

Для выполнения данной задачи применяется цифро-аналоговый преобразователь (ЦАП) с последующим использованием фильтра нижних частот (ФНЧ) для подавления ступенек на сигнале.

Коррекция динамических погрешностей измерительных...

ИП и ВКУ можно функционально объединить в один блок, который имеет более высокие физико-технические характеристики по сравнению с исходным ИП.

Суперпозиция множества таких частот приводит к входным сигналам спектрометра в виде гауссовых пиков.

Проектирование прецизионных помехоустойчивых импульсных...

Конечная емкость источника сигнала Cи может привести к неустойчивости схемы, особенно при использовании длинных входных проводников от акустоэлектрического

Экспериментальная оценка влияния работы преобразователей частоты на форму сигналов токов и напряжений.

Программирование синусоидального и пилообразного сигналов...

ЦАП (DAC) – цифро-аналоговый преобразователь, устройство для преобразования входного дискретного (обычно двоичного) кода в аналоговый сигнал. ЦАП является интерфейсом между дискретным цифровым миром и реальным аналоговым.

Аналого-цифровое преобразование | Статья в журнале...

Аналого-цифровой преобразователь (АЦП) позволяет получить цифровой код из непрерывного входного аналогового сигнала.

Потребность в аналого-цифровых преобразователях стимулирует их разработку и изготовление с новыми, более совершенными характеристиками...

Разработка формирователя сигнала высокоскоростной...

Совместно с новейшими быстродействующими цифро-аналоговыми преобразователями (ЦАП) Analog Devices используется буфер сигналов синхронизации/данных ADCLK914 с крайне высоким быстродействием [3].

АЦП на основе ФАПЧ | Статья в журнале «Молодой ученый»

АЦП преобразует аналоговый сигнал (напряжение) в дискретный код (цифровой сигнал), над которым впоследствии выполняются определенные действия.

Рис. 3. Функциональная схема синтезатора частоты.

Автоматизация радиометрических измерений низкоинтенсивных...

Рисунок 1 - Функциональная схема супергетеродинного корреляционного радиометра.

Выходные сигналы с 13 поступают на усилители 14 и 15 промежуточной частоты, в

Схемы выполнены по n-МОП технологии, входные и выходные сигналы соответствуют уровням...

Генератор сигналов произвольной формы на микроконтроллере...

Для выполнения данной задачи применяется цифро-аналоговый преобразователь (ЦАП) с последующим использованием фильтра нижних частот (ФНЧ) для подавления ступенек на сигнале.

Коррекция динамических погрешностей измерительных...

ИП и ВКУ можно функционально объединить в один блок, который имеет более высокие физико-технические характеристики по сравнению с исходным ИП.

Суперпозиция множества таких частот приводит к входным сигналам спектрометра в виде гауссовых пиков.

Проектирование прецизионных помехоустойчивых импульсных...

Конечная емкость источника сигнала Cи может привести к неустойчивости схемы, особенно при использовании длинных входных проводников от акустоэлектрического

Экспериментальная оценка влияния работы преобразователей частоты на форму сигналов токов и напряжений.

Задать вопрос